module_bitBINbcd(Bin,BCD,BCD);input[]Bin;outputreg[]BCD,BCD;always@(Bin)begin{BCD,BCD}=’h;if(Bin<)beginBCD=’h;BCD=Bin;endelsebeginBCD=’h;BCD=Bin’d;endendendmodule

  尔雅 智慧树 mooc


+
账户
更新
搜索
帮助
主页